那曲檬骨新材料有限公司

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

RISC-V是什么 數(shù)字電路設(shè)計(jì)中的時(shí)序問(wèn)題

嵌入式情報(bào)局 ? 來(lái)源:ZYNQ ? 作者:liangkangnan ? 2022-11-07 15:36 ? 次閱讀

緒論

RISC-V是什么

RISC,即精簡(jiǎn)指令集處理器,是相對(duì)于X86這種CISC(復(fù)雜指令集處理器)來(lái)說(shuō)的。RISC-V中的V是羅馬數(shù)字,也即阿拉伯?dāng)?shù)字中的5,就是指第5代RISC。

RISC-V是一種指令集架構(gòu),和ARM、MIPS這些是屬于同一類(lèi)東西。RISC-V誕生于2010年,最大的特點(diǎn)是開(kāi)源,任何人都可以設(shè)計(jì)RISC-V架構(gòu)的處理器并且不會(huì)有任何版權(quán)問(wèn)題。

既生ARM,何生RISC-V

ARM是一種很優(yōu)秀的處理器,這一點(diǎn)是無(wú)可否認(rèn)的,在RISC處理器中是處于絕對(duì)老大的地位。但是ARM是閉源的,要設(shè)計(jì)基于ARM的處理器是要交版權(quán)費(fèi)的,或者說(shuō)要購(gòu)買(mǎi)ARM的授權(quán),而且這授權(quán)費(fèi)用是昂貴的。

RISC-V的誕生并不是偶然的,而是必然的,為什么?且由我從以下兩大領(lǐng)域進(jìn)行說(shuō)明。

先看開(kāi)源軟件領(lǐng)域(或者說(shuō)是操作系統(tǒng)領(lǐng)域),Windows是閉源的,Linux是開(kāi)源的,Linux有多成功、對(duì)開(kāi)源軟件有多重要的意義,這個(gè)不用多說(shuō)了吧。再看手機(jī)操作系統(tǒng)領(lǐng)域,iOS是閉源的,Android是開(kāi)源的,Android有多成功,這個(gè)也不用多說(shuō)了吧。對(duì)于RISC處理器領(lǐng)域,由于有了ARM的閉源,必然就會(huì)有另外一種開(kāi)源的RISC處理器。RISC-V之于CPU的意義,就好比Linux之于開(kāi)源軟件的意義。

或者你會(huì)說(shuō)現(xiàn)在也有好多開(kāi)源的處理器架構(gòu)啊,比如MIPS等等,為什么偏偏是RISC-V?這個(gè)在這里我就不細(xì)說(shuō)了,我只想說(shuō)一句:大部分人能看到的機(jī)遇不會(huì)是一個(gè)好的機(jī)遇,你懂的。

可以說(shuō)未來(lái)十年乃至更長(zhǎng)時(shí)間內(nèi)不會(huì)有比RISC-V更優(yōu)秀的開(kāi)源處理器架構(gòu)出現(xiàn)。錯(cuò)過(guò)RISC-V,你注定要錯(cuò)過(guò)一個(gè)時(shí)代。

淺談Verilog

verilog,確切來(lái)說(shuō)應(yīng)該是verilog HDL(Hardware Description Language ),從它的名字就可以知道這是一種硬件描述語(yǔ)言。首先它是一種語(yǔ)言,和C語(yǔ)言C++語(yǔ)言一樣是一種編程語(yǔ)言,那么verilog描述的是什么硬件呢?描述電阻?描述電容?描述運(yùn)算放大器?都不是,它描述的是數(shù)字電路里的硬件,比如與、非門(mén)、觸發(fā)器、鎖存器等等。

既然是編程語(yǔ)言,那一定會(huì)有它的語(yǔ)法,學(xué)過(guò)C語(yǔ)言的同學(xué)再來(lái)看verilog得代碼,會(huì)發(fā)現(xiàn)有很多地方是相似的。

verilog的語(yǔ)法并不難,難的是什么時(shí)候該用wire類(lèi)型,什么時(shí)候該用reg類(lèi)型,什么時(shí)候該用assign來(lái)描述電路,什么時(shí)候該用always來(lái)描述電路。assign能描述組合邏輯電路,always也能描述組合邏輯電路,兩者有什么區(qū)別呢?

用always描述組合邏輯電路

我們知道數(shù)字電路里有兩大類(lèi)型的電路,一種是組合邏輯電路,另外一種是時(shí)序邏輯電路。組合邏輯電路不需要時(shí)鐘作為觸發(fā)條件,因此輸入會(huì)立即(不考慮延時(shí))反映到輸出。時(shí)序邏輯電路以時(shí)鐘作為觸發(fā)條件,時(shí)鐘的上升沿到來(lái)時(shí)輸入才會(huì)反映到輸出。

在verilog中,assign能描述組合邏輯電路,always也能描述組合邏輯電路。對(duì)于簡(jiǎn)單的組合邏輯電路的話(huà)兩者描述起來(lái)都比較好懂、容易理解,但是一旦到了復(fù)雜的組合邏輯電路,如果用assign描述的話(huà)要么是一大串要么是要用好多個(gè)assign,不容易弄明白。但是用always描述起來(lái)卻是非常容易理解的。

既然這樣,那全部組合邏輯電路都用always來(lái)描述好了,呵呵,既然assign存在就有它的合理性。

用always描述組合邏輯電路時(shí)要注意避免產(chǎn)生鎖存器,if和case的分支情況要寫(xiě)全。

tinyriscv中用了大量的always來(lái)描述組合邏輯電路,特別是在譯碼和執(zhí)行階段。

數(shù)字電路設(shè)計(jì)中的時(shí)序問(wèn)題

要分析數(shù)字電路中的時(shí)序問(wèn)題,就一定要提到以下這個(gè)模型。

58532970-5c60-11ed-a3b6-dac502259ad0.png

其中對(duì)時(shí)序影響最大的是上圖中的組合邏輯電路。所以要避免時(shí)序問(wèn)題,最簡(jiǎn)單的方法減小組合邏輯電路的延時(shí)。組合邏輯電路里的串聯(lián)級(jí)數(shù)越多延時(shí)就越大,實(shí)在沒(méi)辦法減小串聯(lián)級(jí)數(shù)時(shí),可以采用流水線(xiàn)的方式將這些級(jí)數(shù)用觸發(fā)器隔開(kāi)。

流水線(xiàn)設(shè)計(jì)

要設(shè)計(jì)處理器的話(huà),流水線(xiàn)是繞不開(kāi)的。當(dāng)然你也可以抬杠說(shuō):”用狀態(tài)機(jī)也可以實(shí)現(xiàn)處理器啊,不一定要用流水線(xiàn)。”

采用流水線(xiàn)設(shè)計(jì)方式,不但可以提高處理器的工作頻率,還可以提高處理器的效率。但是流水線(xiàn)并不是越長(zhǎng)越好,流水線(xiàn)越長(zhǎng)要使用的資源就越多、面積就越大。

在設(shè)計(jì)一款處理器之前,首先要確定好所設(shè)計(jì)的處理器要達(dá)到什么樣的性能(或者說(shuō)主頻最高是多少),所使用的資源的上限是多少,功耗范圍是多少。如果一味地追求性能而不考慮資源和功耗的話(huà),那么所設(shè)計(jì)出來(lái)的處理器估計(jì)就只能用來(lái)玩玩,或者做做學(xué)術(shù)研究。

tinyriscv采用的是三級(jí)流水線(xiàn),即取指、譯碼和執(zhí)行,設(shè)計(jì)的目標(biāo)就是要對(duì)標(biāo)ARM的Cortex-M3系列處理器。

代碼風(fēng)格

代碼風(fēng)格其實(shí)并沒(méi)有一種標(biāo)準(zhǔn),但是并不代表代碼風(fēng)格不重要。好的代碼風(fēng)格可以讓別人看你的代碼時(shí)有一種賞心悅目的感覺(jué)。哪怕代碼只是寫(xiě)給自己看,也一定要養(yǎng)成好的代碼風(fēng)格的習(xí)慣。tinyriscv的代碼風(fēng)格在很大程度上沿用了寫(xiě)C語(yǔ)言代碼所采用的風(fēng)格。

下面介紹tinyriscv的一些主要的代碼風(fēng)格。

縮進(jìn)

統(tǒng)一使用4個(gè)空格。

if語(yǔ)句

不管if語(yǔ)句下面有多少行語(yǔ)句,if下面的語(yǔ)句都由begin…end包起來(lái),并且begin在if的最后,如下所示:

1if(a==1'b1)begin
2c<=?b;
3end?else?begin
4????c?<=?d;
5end

case語(yǔ)句

對(duì)于每一個(gè)分支情況,不管有多少行語(yǔ)句,都由begin…end包起來(lái),如下所示:

1case(a)
2c:begin
3e=g;
4end
5default:begin
6b=t;
7end
8endcase

always語(yǔ)句

always語(yǔ)句后跟begin,如下所示:

1always@(posedgeclk)begin
2a<=?b;
3end

其他

=、==、<=、>=、+、-、*、/、@等符號(hào)左右各有一個(gè)空格。

,和:符號(hào)后面有一個(gè)空格。

對(duì)于模塊的輸入信號(hào),不省略wire關(guān)鍵字。

每個(gè)文件的最后留一行空行。

if、case、always后面都有一個(gè)空格。

硬件篇

硬件篇主要介紹tinyriscv的verilog代碼設(shè)計(jì)。

tinyriscv整體框架如圖2_1所示。

5869ac4a-5c60-11ed-a3b6-dac502259ad0.png

圖2_1 tinyriscv整體框架

可見(jiàn)目前tinyriscv已經(jīng)不僅僅是一個(gè)內(nèi)核了,而是一個(gè)小型的SOC,包含一些簡(jiǎn)單的外設(shè),如timer、uart_tx等。

tinyriscv SOC輸入輸出信號(hào)有兩部分,一部分是系統(tǒng)時(shí)鐘clk和復(fù)位信號(hào)rst,另一部分是JTAG調(diào)試信號(hào),TCK、TMS、TDI和TDO。

上圖中的小方框表示一個(gè)個(gè)模塊,方框里面的文字表示模塊的名字,箭頭則表示模塊與模塊之間的的輸入輸出關(guān)系。

下面簡(jiǎn)單介紹每個(gè)模塊的主要作用。

jtag_top:調(diào)試模塊的頂層模塊,主要有三大類(lèi)型的信號(hào),第一種是讀寫(xiě)內(nèi)存的信號(hào),第二種是讀寫(xiě)寄存器的信號(hào),第三種是控制信號(hào),比如復(fù)位MCU,暫停MCU等。

pc_reg:PC寄存器模塊,用于產(chǎn)生PC寄存器的值,該值會(huì)被用作指令存儲(chǔ)器的地址信號(hào)。

if_id:取指到譯碼之間的模塊,用于將指令存儲(chǔ)器輸出的指令打一拍后送到譯碼模塊。

id:譯碼模塊,純組合邏輯電路,根據(jù)if_id模塊送進(jìn)來(lái)的指令進(jìn)行譯碼。當(dāng)譯碼出具體的指令(比如add指令)后,產(chǎn)生是否寫(xiě)寄存器信號(hào),讀寄存器信號(hào)等。由于寄存器采用的是異步讀方式,因此只要送出讀寄存器信號(hào)后,會(huì)馬上得到對(duì)應(yīng)的寄存器數(shù)據(jù),這個(gè)數(shù)據(jù)會(huì)和寫(xiě)寄存器信號(hào)一起送到id_ex模塊。

id_ex:譯碼到執(zhí)行之間的模塊,用于將是否寫(xiě)寄存器的信號(hào)和寄存器數(shù)據(jù)打一拍后送到執(zhí)行模塊。

ex:執(zhí)行模塊,純組合邏輯電路,根據(jù)具體的指令進(jìn)行相應(yīng)的操作,比如add指令就執(zhí)行加法操作等。此外,如果是lw等訪存指令的話(huà),則會(huì)進(jìn)行讀內(nèi)存操作,讀內(nèi)存也是采用異步讀方式。最后將是否需要寫(xiě)寄存器、寫(xiě)寄存器地址,寫(xiě)寄存器數(shù)據(jù)信號(hào)送給regs模塊,將是否需要寫(xiě)內(nèi)存、寫(xiě)內(nèi)存地址、寫(xiě)內(nèi)存數(shù)據(jù)信號(hào)送給rib總線(xiàn),由總線(xiàn)來(lái)分配訪問(wèn)的模塊。

div:除法模塊,采用試商法實(shí)現(xiàn),因此至少需要32個(gè)時(shí)鐘才能完成一次除法操作。

ctrl:控制模塊,產(chǎn)生暫停流水線(xiàn)、跳轉(zhuǎn)等控制信號(hào)。

clint:核心本地中斷模塊,對(duì)輸入的中斷請(qǐng)求信號(hào)進(jìn)行總裁,產(chǎn)生最終的中斷信號(hào)。

rom:程序存儲(chǔ)器模塊,用于存儲(chǔ)程序(bin)文件。

ram:數(shù)據(jù)存儲(chǔ)器模塊,用于存儲(chǔ)程序中的數(shù)據(jù)。

timer:定時(shí)器模塊,用于計(jì)時(shí)和產(chǎn)生定時(shí)中斷信號(hào)。目前支持RTOS時(shí)需要用到該定時(shí)器。

uart_tx:串口發(fā)送模塊,主要用于調(diào)試打印。

gpio:簡(jiǎn)單的IO口模塊,主要用于點(diǎn)燈調(diào)試。

spi:目前只有master角色,用于訪問(wèn)spi從機(jī),比如spi norflash。

PC寄存器

PC寄存器模塊所在的源文件:rtl/core/pc_reg.v

PC寄存器模塊的輸入輸出信號(hào)如下表所示:

589d7c5a-5c60-11ed-a3b6-dac502259ad0.png

PC寄存器模塊代碼比較簡(jiǎn)單,直接貼出來(lái):

 1always@(posedgeclk)begin
 2//復(fù)位
 3if(rst==`RstEnable||jtag_reset_flag_i==1'b1)begin
 4pc_o<=?`CpuResetAddr;
 5????//?跳轉(zhuǎn)
 6????end?else?if?(jump_flag_i?==?`JumpEnable)?begin
 7????????pc_o?<=?jump_addr_i;
 8????//?暫停
 9????end?else?if?(hold_flag_i?>=`Hold_Pc)begin
10pc_o<=?pc_o;
11????//?地址加4
12????end?else?begin
13????????pc_o?<=?pc_o?+?4'h4;
14????end
15end
16

第3行,PC寄存器的值恢復(fù)到原始值(復(fù)位后的值)有兩種方式,第一種不用說(shuō)了,就是復(fù)位信號(hào)有效。第二種是收到j(luò)tag模塊發(fā)過(guò)來(lái)的復(fù)位信號(hào)。PC寄存器復(fù)位后的值為CpuResetAddr,即32’h0,可以通過(guò)改變CpuResetAddr的值來(lái)改變PC寄存器的復(fù)位值。

第6行,判斷跳轉(zhuǎn)標(biāo)志是否有效,如果有效則直接將PC寄存器的值設(shè)置為jump_addr_i的值。因此可以知道,所謂的跳轉(zhuǎn)就是改變PC寄存器的值,從而使CPU從該跳轉(zhuǎn)地址開(kāi)始取指。

第9行,判斷暫停標(biāo)志是否大于等于Hold_Pc,該值為3’b001。如果是,則保持PC寄存器的值不變。這里可能會(huì)有疑問(wèn),為什么Hold_Pc的值不是一個(gè)1bit的信號(hào)。因?yàn)檫@個(gè)暫停標(biāo)志還會(huì)被if_id和id_ex模塊使用,如果僅僅需要暫停PC寄存器的話(huà),那么if_id模塊和id_ex模塊是不需要暫停的。當(dāng)需要暫停if_id模塊時(shí),PC寄存器也會(huì)同時(shí)被暫停。當(dāng)需要暫停id_ex模塊時(shí),那么整條流水線(xiàn)都會(huì)被暫停。

第13行,將PC寄存器的值加4。在這里可以知道,tinyriscv的取指地址是4字節(jié)對(duì)齊的,每條指令都是32位的。

通用寄存器

通用寄存器模塊所在的源文件:rtl/core/regs.v

一共有32個(gè)通用寄存器x0~x31,其中寄存器x0是只讀寄存器并且其值固定為0。

通用寄存器的輸入輸出信號(hào)如下表所示:

58bcf102-5c60-11ed-a3b6-dac502259ad0.png

注意,這里的寄存器1不是指x1寄存器,寄存器2也不是指x2寄存器。而是指一條指令里涉及到的兩個(gè)寄存器(源寄存器1和源寄存器2)。一條指令可能會(huì)同時(shí)讀取兩個(gè)寄存器的值,所以有兩個(gè)讀端口。又因?yàn)閖tag模塊也會(huì)進(jìn)行寄存器的讀操作,所以一共有三個(gè)讀端口。

讀寄存器操作來(lái)自譯碼模塊,并且讀出來(lái)的寄存器數(shù)據(jù)也會(huì)返回給譯碼模塊。寫(xiě)寄存器操作來(lái)自執(zhí)行模塊。

先看讀操作的代碼,如下:

 1//讀寄存器1
 2always@(*)begin
 3if(rst==`RstEnable)begin
 4rdata1_o=`ZeroWord;
 5endelseif(raddr1_i==`RegNumLog2'h0)begin
 6rdata1_o=`ZeroWord;
 7//如果讀地址等于寫(xiě)地址,并且正在寫(xiě)操作,則直接返回寫(xiě)數(shù)據(jù)
 8endelseif(raddr1_i==waddr_i&&we_i==`WriteEnable)begin
 9rdata1_o=wdata_i;
10endelsebegin
11rdata1_o=regs[raddr1_i];
12end
13end
14
15//讀寄存器2
16always@(*)begin
17if(rst==`RstEnable)begin
18rdata2_o=`ZeroWord;
19endelseif(raddr2_i==`RegNumLog2'h0)begin
20rdata2_o=`ZeroWord;
21//如果讀地址等于寫(xiě)地址,并且正在寫(xiě)操作,則直接返回寫(xiě)數(shù)據(jù)
22endelseif(raddr2_i==waddr_i&&we_i==`WriteEnable)begin
23rdata2_o=wdata_i;
24endelsebegin
25rdata2_o=regs[raddr2_i];
26end
27end
28

可以看到兩個(gè)寄存器的讀操作幾乎是一樣的。因此在這里只解析讀寄存器1那部分代碼。

第5行,如果是讀寄存器0(x0),那么直接返回0就可以了。

第8行,這涉及到數(shù)據(jù)相關(guān)問(wèn)題。由于流水線(xiàn)的原因,當(dāng)前指令處于執(zhí)行階段的時(shí)候,下一條指令則處于譯碼階段。由于執(zhí)行階段不會(huì)寫(xiě)寄存器,而是在下一個(gè)時(shí)鐘到來(lái)時(shí)才會(huì)進(jìn)行寄存器寫(xiě)操作,如果譯碼階段的指令需要上一條指令的結(jié)果,那么此時(shí)讀到的寄存器的值是錯(cuò)誤的。比如下面這兩條指令:

1addx1,x2,x3
2addx4,x1,x5

第二條指令依賴(lài)于第一條指令的結(jié)果。為了解決這個(gè)數(shù)據(jù)相關(guān)的問(wèn)題就有了第8~9行的操作,即如果讀寄存器等于寫(xiě)寄存器,則直接將要寫(xiě)的值返回給讀操作。

第11行,如果沒(méi)有數(shù)據(jù)相關(guān),則返回要讀的寄存器的值。

下面看寫(xiě)寄存器操作,代碼如下:

 1//寫(xiě)寄存器
 2always@(posedgeclk)begin
 3if(rst==`RstDisable)begin
 4//優(yōu)先ex模塊寫(xiě)操作
 5if((we_i==`WriteEnable)&&(waddr_i!=`RegNumLog2'h0))begin
 6regs[waddr_i]<=?wdata_i;
 7????????end?else?if?((jtag_we_i?==?`WriteEnable)?&&?(jtag_addr_i?!=?`RegNumLog2'h0))?begin
 8????????????regs[jtag_addr_i]?<=?jtag_data_i;
 9????????end
10????end
11end

第5~6行,如果執(zhí)行模塊寫(xiě)使能并且要寫(xiě)的寄存器不是x0寄存器,則將要寫(xiě)的值寫(xiě)到對(duì)應(yīng)的寄存器。

第7~8行,jtag模塊的寫(xiě)操作。

CSR寄存器模塊(csr_reg.v)和通用寄存器模塊的讀、寫(xiě)操作是類(lèi)似的,這里就不重復(fù)了。

取指

目前tinyriscv所有外設(shè)(包括rom和ram)、寄存器的讀取都是與時(shí)鐘無(wú)關(guān)的,或者說(shuō)所有外設(shè)、寄存器的讀取采用的是組合邏輯的方式。這一點(diǎn)非常重要!

tinyriscv并沒(méi)有具體的取指模塊和代碼。PC寄存器模塊的輸出pc_o會(huì)連接到外設(shè)rom模塊的地址輸入,又由于rom的讀取是組合邏輯,因此每一個(gè)時(shí)鐘上升沿到來(lái)之前(時(shí)序是滿(mǎn)足要求的),從rom輸出的指令已經(jīng)穩(wěn)定在if_id模塊的輸入,當(dāng)時(shí)鐘上升沿到來(lái)時(shí)指令就會(huì)輸出到id模塊。

取到的指令和指令地址會(huì)輸入到if_id模塊(if_id.v),if_id模塊是一個(gè)時(shí)序電路,作用是將輸入的信號(hào)打一拍后再輸出到譯碼(id.v)模塊。

譯碼

譯碼模塊所在的源文件:rtl/core/id.v

譯碼(id)模塊是一個(gè)純組合邏輯電路,主要作用有以下幾點(diǎn):

1.根據(jù)指令內(nèi)容,解析出當(dāng)前具體是哪一條指令(比如add指令)。

2.根據(jù)具體的指令,確定當(dāng)前指令涉及的寄存器。比如讀寄存器是一個(gè)還是兩個(gè),是否需要寫(xiě)寄存器以及寫(xiě)哪一個(gè)寄存器。

3.訪問(wèn)通用寄存器,得到要讀的寄存器的值。

譯碼模塊的輸入輸出信號(hào)如下表所示:

58e03b58-5c60-11ed-a3b6-dac502259ad0.png

以add指令為例來(lái)說(shuō)明如何譯碼。下圖是add指令的編碼格式:

5929962c-5c60-11ed-a3b6-dac502259ad0.png

可知,add指令被編碼成6部分內(nèi)容。通過(guò)第1、4、6這三部分可以唯一確定當(dāng)前指令是否是add指令。知道是add指令之后,就可以知道add指令需要讀兩個(gè)通用寄存器(rs1和rs2)和寫(xiě)一個(gè)通用寄存器(rd)。下面看具體的代碼:

 1case(opcode)
 2...
 3`INST_TYPE_R_M:begin
 4if((funct7==7'b0000000)||(funct7==7'b0100000))begin
 5case(funct3)
 6`INST_ADD_SUB,`INST_SLL,`INST_SLT,`INST_SLTU,`INST_XOR,`INST_SR,`INST_OR,`INST_AND:begin
 7reg_we_o=`WriteEnable;
 8reg_waddr_o=rd;
 9reg1_raddr_o=rs1;
10reg2_raddr_o=rs2;
11end
12...
13

第1行,opcode就是指令編碼中的第6部分內(nèi)容。

第3行,`INST_TYPE_R_M的值為7’b0110011。

第4行,funct7是指指令編碼中的第1部分內(nèi)容。

第5行,funct3是指指令編碼中的第4部分內(nèi)容。

第6行,到了這里,第1、4、6這三部分已經(jīng)譯碼完畢,已經(jīng)可以確定當(dāng)前指令是add指令了。

第7行,設(shè)置寫(xiě)寄存器標(biāo)志為1,表示執(zhí)行模塊結(jié)束后的下一個(gè)時(shí)鐘需要寫(xiě)寄存器。

第8行,設(shè)置寫(xiě)寄存器地址為rd,rd的值為指令編碼里的第5部分內(nèi)容。

第9行,設(shè)置讀寄存器1的地址為rs1,rs1的值為指令編碼里的第3部分內(nèi)容。

第10行,設(shè)置讀寄存器2的地址為rs2,rs2的值為指令編碼里的第2部分內(nèi)容。

其他指令的譯碼過(guò)程是類(lèi)似的,這里就不重復(fù)了。譯碼模塊看起來(lái)代碼很多,但是大部分代碼都是類(lèi)似的。

譯碼模塊還有個(gè)作用是當(dāng)指令為加載內(nèi)存指令(比如lw等)時(shí),向總線(xiàn)發(fā)出請(qǐng)求訪問(wèn)內(nèi)存的信號(hào)。這部分內(nèi)容將在總線(xiàn)一節(jié)再分析。

譯碼模塊的輸出會(huì)送到id_ex模塊(id_ex.v)的輸入,id_ex模塊是一個(gè)時(shí)序電路,作用是將輸入的信號(hào)打一拍后再輸出到執(zhí)行模塊(ex.v)。

執(zhí)行

執(zhí)行模塊所在的源文件:rtl/core/ex.v

執(zhí)行(ex)模塊是一個(gè)純組合邏輯電路,主要作用有以下幾點(diǎn):

1.根據(jù)當(dāng)前是什么指令執(zhí)行對(duì)應(yīng)的操作,比如add指令,則將寄存器1的值和寄存器2的值相加。

2.如果是內(nèi)存加載指令,則讀取對(duì)應(yīng)地址的內(nèi)存數(shù)據(jù)。

3.如果是跳轉(zhuǎn)指令,則發(fā)出跳轉(zhuǎn)信號(hào)。

執(zhí)行模塊的輸入輸出信號(hào)如下表所示:

593c9682-5c60-11ed-a3b6-dac502259ad0.png

59677992-5c60-11ed-a3b6-dac502259ad0.png

下面以add指令為例說(shuō)明,add指令的作用就是將寄存器1的值和寄存器2的值相加,最后將結(jié)果寫(xiě)入目的寄存器。代碼如下:

 1...
 2`INST_TYPE_R_M:begin
 3if((funct7==7'b0000000)||(funct7==7'b0100000))begin
 4case(funct3)
 5`INST_ADD_SUB:begin
 6jump_flag=`JumpDisable;
 7hold_flag=`HoldDisable;
 8jump_addr=`ZeroWord;
 9mem_wdata_o=`ZeroWord;
10mem_raddr_o=`ZeroWord;
11mem_waddr_o=`ZeroWord;
12mem_we=`WriteDisable;
13if(inst_i[30]==1'b0)begin
14reg_wdata=reg1_rdata_i+reg2_rdata_i;
15endelsebegin
16reg_wdata=reg1_rdata_i-reg2_rdata_i;
17end
18...
19end
20...

第2~4行,譯碼操作。

第5行,對(duì)add或sub指令進(jìn)行處理。

第6~12行,當(dāng)前指令不涉及到的操作(比如跳轉(zhuǎn)、寫(xiě)內(nèi)存等)需要將其置回默認(rèn)值。

第13行,指令編碼中的第30位區(qū)分是add指令還是sub指令。0表示add指令,1表示sub指令。

第14行,執(zhí)行加法操作。

第16行,執(zhí)行減法操作。

其他指令的執(zhí)行是類(lèi)似的,需要注意的是沒(méi)有涉及的信號(hào)要將其置為默認(rèn)值,if和case情況要寫(xiě)全,避免產(chǎn)生鎖存器。

下面以beq指令說(shuō)明跳轉(zhuǎn)指令的執(zhí)行。beq指令的編碼如下:

59d79f24-5c60-11ed-a3b6-dac502259ad0.png

beq指令的作用就是當(dāng)寄存器1的值和寄存器2的值相等時(shí)發(fā)生跳轉(zhuǎn),跳轉(zhuǎn)的目的地址為當(dāng)前指令的地址加上符號(hào)擴(kuò)展的imm的值。具體代碼如下:

 1...
 2`INST_TYPE_B:begin
 3case(funct3)
 4`INST_BEQ:begin
 5hold_flag=`HoldDisable;
 6mem_wdata_o=`ZeroWord;
 7mem_raddr_o=`ZeroWord;
 8mem_waddr_o=`ZeroWord;
 9mem_we=`WriteDisable;
10reg_wdata=`ZeroWord;
11if(reg1_rdata_i==reg2_rdata_i)begin
12jump_flag=`JumpEnable;
13jump_addr=inst_addr_i+{{20{inst_i[31]}},inst_i[7],inst_i[30:25],inst_i[11:8],1'b0};
14endelsebegin
15jump_flag=`JumpDisable;
16jump_addr=`ZeroWord;
17end
18...
19end
20...

第2~4行,譯碼出beq指令。

第5~10行,沒(méi)有涉及的信號(hào)置為默認(rèn)值。

第11行,判斷寄存器1的值是否等于寄存器2的值。

第12行,跳轉(zhuǎn)使能,即發(fā)生跳轉(zhuǎn)。

第13行,計(jì)算出跳轉(zhuǎn)的目的地址。

第15、16行,不發(fā)生跳轉(zhuǎn)。

其他跳轉(zhuǎn)指令的執(zhí)行是類(lèi)似的,這里就不再重復(fù)了。

訪存

由于tinyriscv只有三級(jí)流水線(xiàn),因此沒(méi)有訪存這個(gè)階段,訪存的操作放在了執(zhí)行模塊中。具體是這樣的,在譯碼階段如果識(shí)別出是內(nèi)存訪問(wèn)指令(lb、lh、lw、lbu、lhu、sb、sh、sw),則向總線(xiàn)發(fā)出內(nèi)存訪問(wèn)請(qǐng)求,具體代碼(位于id.v)如下:

 1...
 2`INST_TYPE_L:begin
 3case(funct3)
 4`INST_LB,`INST_LH,`INST_LW,`INST_LBU,`INST_LHU:begin
 5reg1_raddr_o=rs1;
 6reg2_raddr_o=`ZeroReg;
 7reg_we_o=`WriteEnable;
 8reg_waddr_o=rd;
 9mem_req=`RIB_REQ;
10end
11default:begin
12reg1_raddr_o=`ZeroReg;
13reg2_raddr_o=`ZeroReg;
14reg_we_o=`WriteDisable;
15reg_waddr_o=`ZeroReg;
16end
17endcase
18end
19`INST_TYPE_S:begin
20case(funct3)
21`INST_SB,`INST_SW,`INST_SH:begin
22reg1_raddr_o=rs1;
23reg2_raddr_o=rs2;
24reg_we_o=`WriteDisable;
25reg_waddr_o=`ZeroReg;
26mem_req=`RIB_REQ;
27end
28...

第2~4行,譯碼出內(nèi)存加載指令,lb、lh、lw、lbu、lhu。

第5行,需要讀寄存器1。

第6行,不需要讀寄存器2。

第7行,寫(xiě)目的寄存器使能。

第8行,寫(xiě)目的寄存器的地址,即寫(xiě)哪一個(gè)通用寄存器。

第9行,發(fā)出訪問(wèn)內(nèi)存請(qǐng)求。

第19~21行,譯碼出內(nèi)存存儲(chǔ)指令,sb、sw、sh。

第22行,需要讀寄存器1。

第23行,需要讀寄存器2。

第24行,不需要寫(xiě)目的寄存器。

第26行,發(fā)出訪問(wèn)內(nèi)存請(qǐng)求。

問(wèn)題來(lái)了,為什么在取指階段發(fā)出內(nèi)存訪問(wèn)請(qǐng)求?這跟總線(xiàn)的設(shè)計(jì)是相關(guān)的,這里先不具體介紹總線(xiàn)的設(shè)計(jì),只需要知道如果需要訪問(wèn)內(nèi)存,則需要提前一個(gè)時(shí)鐘向總線(xiàn)發(fā)出請(qǐng)求。

在譯碼階段向總線(xiàn)發(fā)出內(nèi)存訪問(wèn)請(qǐng)求后,在執(zhí)行階段就會(huì)得到對(duì)應(yīng)的內(nèi)存數(shù)據(jù)。

下面看執(zhí)行階段的內(nèi)存加載操作,以lb指令為例,lb指令的作用是訪問(wèn)內(nèi)存中的某一個(gè)字節(jié),代碼(位于ex.v)如下:

 1...
 2`INST_TYPE_L:begin
 3case(funct3)
 4`INST_LB:begin
 5jump_flag=`JumpDisable;
 6hold_flag=`HoldDisable;
 7jump_addr=`ZeroWord;
 8mem_wdata_o=`ZeroWord;
 9mem_waddr_o=`ZeroWord;
10mem_we=`WriteDisable;
11mem_raddr_o=reg1_rdata_i+{{20{inst_i[31]}},inst_i[31:20]};
12case(mem_raddr_index)
132'b00:begin
14reg_wdata={{24{mem_rdata_i[7]}},mem_rdata_i[7:0]};
15end
162'b01:begin
17reg_wdata={{24{mem_rdata_i[15]}},mem_rdata_i[15:8]};
18end
192'b10:begin
20reg_wdata={{24{mem_rdata_i[23]}},mem_rdata_i[23:16]};
21end
22default:begin
23reg_wdata={{24{mem_rdata_i[31]}},mem_rdata_i[31:24]};
24end
25endcase
26end
27...
28

第2~4行,譯碼出lb指令。

第5~10行,將沒(méi)有涉及的信號(hào)置為默認(rèn)值。

第11行,得到訪存的地址。

第12行,由于訪問(wèn)內(nèi)存的地址必須是4字節(jié)對(duì)齊的,因此這里的mem_raddr_index的含義就是32位內(nèi)存數(shù)據(jù)(4個(gè)字節(jié))中的哪一個(gè)字節(jié),2’b00表示第0個(gè)字節(jié),即最低字節(jié),2’b01表示第1個(gè)字節(jié),2’b10表示第2個(gè)字節(jié),2’b11表示第3個(gè)字節(jié),即最高字節(jié)。

第14、17、20、23行,寫(xiě)寄存器數(shù)據(jù)。

回寫(xiě)

由于tinyriscv只有三級(jí)流水線(xiàn),因此也沒(méi)有回寫(xiě)(write back,或者說(shuō)寫(xiě)回)這個(gè)階段,在執(zhí)行階段結(jié)束后的下一個(gè)時(shí)鐘上升沿就會(huì)把數(shù)據(jù)寫(xiě)回寄存器或者內(nèi)存。

需要注意的是,在執(zhí)行階段,判斷如果是內(nèi)存存儲(chǔ)指令(sb、sh、sw),則向總線(xiàn)發(fā)出訪問(wèn)內(nèi)存請(qǐng)求。而對(duì)于內(nèi)存加載(lb、lh、lw、lbu、lhu)指令是不需要的。因?yàn)閮?nèi)存存儲(chǔ)指令既需要加載內(nèi)存數(shù)據(jù)又需要往內(nèi)存存儲(chǔ)數(shù)據(jù)。

以sb指令為例,代碼(位于ex.v)如下:

 1...
 2`INST_TYPE_S:begin
 3case(funct3)
 4`INST_SB:begin
 5jump_flag=`JumpDisable;
 6hold_flag=`HoldDisable;
 7jump_addr=`ZeroWord;
 8reg_wdata=`ZeroWord;
 9mem_we=`WriteEnable;
10mem_req=`RIB_REQ;
11mem_waddr_o=reg1_rdata_i+{{20{inst_i[31]}},inst_i[31:25],inst_i[11:7]};
12mem_raddr_o=reg1_rdata_i+{{20{inst_i[31]}},inst_i[31:25],inst_i[11:7]};
13case(mem_waddr_index)
142'b00:begin
15mem_wdata_o={mem_rdata_i[31:8],reg2_rdata_i[7:0]};
16end
172'b01:begin
18mem_wdata_o={mem_rdata_i[31:16],reg2_rdata_i[7:0],mem_rdata_i[7:0]};
19end
202'b10:begin
21mem_wdata_o={mem_rdata_i[31:24],reg2_rdata_i[7:0],mem_rdata_i[15:0]};
22end
23default:begin
24mem_wdata_o={reg2_rdata_i[7:0],mem_rdata_i[23:0]};
25end
26endcase
27end
28...
29

第2~4行,譯碼出sb指令。

第5~8行,將沒(méi)有涉及的信號(hào)置為默認(rèn)值。

第9行,寫(xiě)內(nèi)存使能。

第10行,發(fā)出訪問(wèn)內(nèi)存請(qǐng)求。

第11行,內(nèi)存寫(xiě)地址。

第12行,內(nèi)存讀地址,讀地址和寫(xiě)地址是一樣的。

第13行,mem_waddr_index的含義就是寫(xiě)32位內(nèi)存數(shù)據(jù)中的哪一個(gè)字節(jié)。

第15、18、21、24行,寫(xiě)內(nèi)存數(shù)據(jù)。

sb指令只改變讀出來(lái)的32位內(nèi)存數(shù)據(jù)中對(duì)應(yīng)的字節(jié),其他3個(gè)字節(jié)的數(shù)據(jù)保持不變,然后寫(xiě)回到內(nèi)存中。

跳轉(zhuǎn)和流水線(xiàn)暫停

跳轉(zhuǎn)就是改變PC寄存器的值。又因?yàn)樘D(zhuǎn)與否需要在執(zhí)行階段才知道,所以當(dāng)需要跳轉(zhuǎn)時(shí),則需要暫停流水線(xiàn)(正確來(lái)說(shuō)是沖刷流水線(xiàn)。流水線(xiàn)是不可以暫停的,除非時(shí)鐘不跑了)。那怎么暫停流水線(xiàn)呢?或者說(shuō)怎么實(shí)現(xiàn)流水線(xiàn)沖刷呢?tinyriscv的流水線(xiàn)結(jié)構(gòu)如下圖所示。

59f3e0b2-5c60-11ed-a3b6-dac502259ad0.png


其中長(zhǎng)方形表示的是時(shí)序邏輯電路,云狀型表示的是組合邏輯電路。在執(zhí)行階段,當(dāng)判斷需要發(fā)生跳轉(zhuǎn)時(shí),發(fā)出跳轉(zhuǎn)信號(hào)和跳轉(zhuǎn)地址給ctrl(ctrl.v)模塊。ctrl模塊判斷跳轉(zhuǎn)信號(hào)有效后會(huì)給pc_reg、if_id和id_ex模塊發(fā)出流水線(xiàn)暫停信號(hào),并且還會(huì)給pc_reg模塊發(fā)出跳轉(zhuǎn)地址。在時(shí)鐘上升沿到來(lái)時(shí),if_id和id_ex模塊如果檢測(cè)到流水線(xiàn)暫停信號(hào)有效則送出NOP指令,從而使得整條流水線(xiàn)(譯碼階段、執(zhí)行階段)流淌的都是NOP指令,已經(jīng)取出的指令就會(huì)無(wú)效,這就是流水線(xiàn)沖刷機(jī)制。

下面看ctrl.v模塊是怎么設(shè)計(jì)的。ctrl.v的輸入輸出信號(hào)如下表所示:

5a17d9e0-5c60-11ed-a3b6-dac502259ad0.png

可知,暫停信號(hào)來(lái)自多個(gè)模塊。對(duì)于跳轉(zhuǎn)(跳轉(zhuǎn)包含暫停流水線(xiàn)操作),是要沖刷整條流水線(xiàn)的,因?yàn)樘D(zhuǎn)后流水線(xiàn)上其他階段的其他操作是無(wú)效的。對(duì)于其他模塊的暫停信號(hào),一種最簡(jiǎn)單的設(shè)計(jì)就是也沖刷整條流水線(xiàn),但是這樣的話(huà)MCU的效率就會(huì)低一些。另一種設(shè)計(jì)就是根據(jù)不同的暫停信號(hào),暫停不同的流水線(xiàn)階段。比如對(duì)于總線(xiàn)請(qǐng)求的暫停只需要暫停PC寄存器這一階段就可以了,讓流水線(xiàn)上的其他階段繼續(xù)工作。看ctrl.v的代碼:

 1...
 2always@(*)begin
 3if(rst==`RstEnable)begin
 4hold_flag_o=`Hold_None;
 5jump_flag_o=`JumpDisable;
 6jump_addr_o=`ZeroWord;
 7endelsebegin
 8jump_addr_o=jump_addr_i;
 9jump_flag_o=jump_flag_i;
10//默認(rèn)不暫停
11hold_flag_o=`Hold_None;
12//按優(yōu)先級(jí)處理不同模塊的請(qǐng)求
13if(jump_flag_i==`JumpEnable||hold_flag_ex_i==`HoldEnable||hold_flag_clint_i==`HoldEnable)begin
14//暫停整條流水線(xiàn)
15hold_flag_o=`Hold_Id;
16endelseif(hold_flag_rib_i==`HoldEnable)begin
17//暫停PC,即取指地址不變
18hold_flag_o=`Hold_Pc;
19endelseif(jtag_halt_flag_i==`HoldEnable)begin
20//暫停整條流水線(xiàn)
21hold_flag_o=`Hold_Id;
22endelsebegin
23hold_flag_o=`Hold_None;
24end
25end
26end
27...

第3~6行,復(fù)位時(shí)賦默認(rèn)值。

第8行,輸出跳轉(zhuǎn)地址直接等于輸入跳轉(zhuǎn)地址。

第9行,輸出跳轉(zhuǎn)標(biāo)志直接等于輸入跳轉(zhuǎn)標(biāo)志。

第11行,默認(rèn)不暫停流水線(xiàn)。

第13、14行,對(duì)于跳轉(zhuǎn)操作、來(lái)自執(zhí)行階段的暫停、來(lái)自中斷模塊的暫停則暫停整條流水線(xiàn)。

第16~18行,對(duì)于總線(xiàn)暫停,只需要暫停PC寄存器,讓譯碼和執(zhí)行階段繼續(xù)運(yùn)行。

第19~21行,對(duì)于jtag模塊暫停,則暫停整條流水線(xiàn)。

跳轉(zhuǎn)時(shí)只需要暫停流水線(xiàn)一個(gè)時(shí)鐘周期,但是如果是多周期指令(比如除法指令),則需要暫停流水線(xiàn)多個(gè)時(shí)鐘周期。

總線(xiàn)

設(shè)想一下一個(gè)沒(méi)有總線(xiàn)的SOC,處理器核與外設(shè)之間的連接是怎樣的。可能會(huì)如下圖所示:

5a2f47ba-5c60-11ed-a3b6-dac502259ad0.png

可見(jiàn),處理器核core直接與每個(gè)外設(shè)進(jìn)行交互。假設(shè)一個(gè)外設(shè)有一條地址總線(xiàn)和一條數(shù)據(jù)總線(xiàn),總共有N個(gè)外設(shè),那么處理器核就有N條地址總線(xiàn)和N條數(shù)據(jù)總線(xiàn),而且每增加一個(gè)外設(shè)就要修改(改動(dòng)還不小)core的代碼。有了總線(xiàn)之后(見(jiàn)本章開(kāi)頭的圖2_1),處理器核只需要一條地址總線(xiàn)和一條數(shù)據(jù)總線(xiàn),大大簡(jiǎn)化了處理器核與外設(shè)之間的連接。

目前已經(jīng)有不少成熟、標(biāo)準(zhǔn)的總線(xiàn),比如AMBA、wishbone、AXI等。設(shè)計(jì)CPU時(shí)大可以直接使用其中某一種,以節(jié)省開(kāi)發(fā)時(shí)間。但是為了追求簡(jiǎn)單,tinyriscv并沒(méi)有使用這些總線(xiàn),而是自主設(shè)計(jì)了一種名為RIB(RISC-V Internal Bus)的總線(xiàn)。RIB總線(xiàn)支持多主多從連接,但是同一時(shí)刻只支持一主一從通信。RIB總線(xiàn)上的各個(gè)主設(shè)備之間采用固定優(yōu)先級(jí)仲裁機(jī)制。

RIB總線(xiàn)模塊所在的源文件:rtl/core/rib.v

RIB總線(xiàn)模塊的輸入輸出信號(hào)如下表所示(由于各個(gè)主、從之間的信號(hào)是類(lèi)似的,所以這里只列出其中一個(gè)主和一個(gè)從的信號(hào)):

5a47f0d0-5c60-11ed-a3b6-dac502259ad0.png

RIB總線(xiàn)本質(zhì)上是一個(gè)多路選擇器,從多個(gè)主設(shè)備中選擇其中一個(gè)來(lái)訪問(wèn)對(duì)應(yīng)的從設(shè)備。

RIB總線(xiàn)地址的最高4位決定要訪問(wèn)的是哪一個(gè)從設(shè)備,因此最多支持16個(gè)從設(shè)備。

仲裁方式采用的類(lèi)似狀態(tài)機(jī)的方式來(lái)實(shí)現(xiàn),代碼如下所示:

 1...
 2//主設(shè)備請(qǐng)求信號(hào)
 3assignreq={m2_req_i,m1_req_i,m0_req_i};
 4
 5
 6//授權(quán)主設(shè)備切換
 7always@(posedgeclk)begin
 8if(rst==`RstEnable)begin
 9grant<=?grant1;
10????????end?else?begin
11????????????grant?<=?next_grant;
12????????end
13????end
14
15????//?仲裁邏輯
16????//?固定優(yōu)先級(jí)仲裁機(jī)制
17????//?優(yōu)先級(jí)由高到低:主設(shè)備0,主設(shè)備2,主設(shè)備1
18????always?@?(*)?begin
19????????if?(rst?==?`RstEnable)?begin
20????????????next_grant?=?grant1;
21????????????hold_flag_o?=?`HoldDisable;
22????????end?else?begin
23????????????case?(grant)
24????????????????grant0:?begin
25????????????????????if?(req[0])?begin
26????????????????????????next_grant?=?grant0;
27????????????????????????hold_flag_o?=?`HoldEnable;
28????????????????????end?else?if?(req[2])?begin
29????????????????????????next_grant?=?grant2;
30????????????????????????hold_flag_o?=?`HoldEnable;
31????????????????????end?else?begin
32????????????????????????next_grant?=?grant1;
33????????????????????????hold_flag_o?=?`HoldDisable;
34????????????????????end
35????????????????end
36????????????????grant1:?begin
37????????????????????if?(req[0])?begin
38????????????????????????next_grant?=?grant0;
39????????????????????????hold_flag_o?=?`HoldEnable;
40????????????????????end?else?if?(req[2])?begin
41????????????????????????next_grant?=?grant2;
42????????????????????????hold_flag_o?=?`HoldEnable;
43????????????????????end?else?begin
44????????????????????????next_grant?=?grant1;
45????????????????????????hold_flag_o?=?`HoldDisable;
46????????????????????end
47????????????????end
48????????????????grant2:?begin
49????????????????????if?(req[0])?begin
50????????????????????????next_grant?=?grant0;
51????????????????????????hold_flag_o?=?`HoldEnable;
52????????????????????end?else?if?(req[2])?begin
53????????????????????????next_grant?=?grant2;
54????????????????????????hold_flag_o?=?`HoldEnable;
55????????????????????end?else?begin
56????????????????????????next_grant?=?grant1;
57????????????????????????hold_flag_o?=?`HoldDisable;
58????????????????????end
59????????????????end
60????????????????default:?begin
61????????????????????next_grant?=?grant1;
62????????????????????hold_flag_o?=?`HoldDisable;
63????????????????end
64????????????endcase
65????????end
66????end
67...

第3行,主設(shè)備請(qǐng)求信號(hào)的組合。

第7~13行,切換主設(shè)備操作,默認(rèn)是授權(quán)給主設(shè)備1的,即取指模塊。從這里可以知道,從發(fā)出總線(xiàn)訪問(wèn)請(qǐng)求后,需要一個(gè)時(shí)鐘周期才能完成切換。

第18~66行,通過(guò)組合邏輯電路來(lái)實(shí)現(xiàn)優(yōu)先級(jí)仲裁。

第20行,默認(rèn)授權(quán)給主設(shè)備1。

第24~35行,這是已經(jīng)授權(quán)給主設(shè)備0的情況。第25、28、31行,分別對(duì)應(yīng)主設(shè)備0、主設(shè)備2和主設(shè)備1的請(qǐng)求,通過(guò)if、else語(yǔ)句來(lái)實(shí)現(xiàn)優(yōu)先級(jí)。第27、30行,主設(shè)備0和主設(shè)備2的請(qǐng)求需要暫停流水線(xiàn),這里只需要暫停PC階段,讓譯碼和執(zhí)行階段繼續(xù)執(zhí)行。

第3647行,這是已經(jīng)授權(quán)給主設(shè)備1的情況,和第2435行的操作是類(lèi)似的。

第4859行,這是已經(jīng)授權(quán)給主設(shè)備2的情況,和第2435行的操作是類(lèi)似的。

注意:RIB總線(xiàn)上不同的主設(shè)備切換是需要一個(gè)時(shí)鐘周期的,因此如果想要在執(zhí)行階段讀取到外設(shè)的數(shù)據(jù),則需要在譯碼階段就發(fā)出總線(xiàn)訪問(wèn)請(qǐng)求。

中斷

中斷(中斷返回)本質(zhì)上也是一種跳轉(zhuǎn),只不過(guò)還需要附加一些讀寫(xiě)CSR寄存器的操作。

RISC-V中斷分為兩種類(lèi)型,一種是同步中斷,即ECALL、EBREAK等指令所產(chǎn)生的中斷,另一種是異步中斷,即GPIO、UART等外設(shè)產(chǎn)生的中斷。

對(duì)于中斷模塊設(shè)計(jì),一種簡(jiǎn)單的方法就是當(dāng)檢測(cè)到中斷(中斷返回)信號(hào)時(shí),先暫停整條流水線(xiàn),設(shè)置跳轉(zhuǎn)地址為中斷入口地址,然后讀、寫(xiě)必要的CSR寄存器(mstatus、mepc、mcause等),等讀寫(xiě)完這些CSR寄存器后取消流水線(xiàn)暫停,這樣處理器就可以從中斷入口地址開(kāi)始取指,進(jìn)入中斷服務(wù)程序。

下面看tinyriscv的中斷是如何設(shè)計(jì)的。中斷模塊所在文件:rtl/core/clint.v

輸入輸出信號(hào)列表如下:

5a6711ae-5c60-11ed-a3b6-dac502259ad0.png

先看中斷模塊是怎樣判斷有中斷信號(hào)產(chǎn)生的,如下代碼:

 1...
 2always@(*)begin
 3if(rst==`RstEnable)begin
 4int_state=S_INT_IDLE;
 5endelsebegin
 6if(inst_i==`INST_ECALL||inst_i==`INST_EBREAK)begin
 7int_state=S_INT_SYNC_ASSERT;
 8endelseif(int_flag_i!=`INT_NONE&&global_int_en_i==`True)begin
 9int_state=S_INT_ASYNC_ASSERT;
10endelseif(inst_i==`INST_MRET)begin
11int_state=S_INT_MRET;
12endelsebegin
13int_state=S_INT_IDLE;
14end
15end
16end
17...

第3~4行,復(fù)位后的狀態(tài),默認(rèn)沒(méi)有中斷要處理。

第6~7行,判斷當(dāng)前指令是否是ECALL或者EBREAK指令,如果是則設(shè)置中斷狀態(tài)為S_INT_SYNC_ASSERT,表示有同步中斷要處理。

第8~9行,判斷是否有外設(shè)中斷信號(hào)產(chǎn)生,如果是則設(shè)置中斷狀態(tài)為S_INT_ASYNC_ASSERT,表示有異步中斷要處理。

第10~11行,判斷當(dāng)前指令是否是MRET指令,MRET指令是中斷返回指令。如果是,則設(shè)置中斷狀態(tài)為S_INT_MRET。

下面就根據(jù)當(dāng)前的中斷狀態(tài)做不同處理(讀寫(xiě)不同的CSR寄存器),代碼如下:

 1...
 2always@(posedgeclk)begin
 3if(rst==`RstEnable)begin
 4csr_state<=?S_CSR_IDLE;
 5????????????cause?<=?`ZeroWord;
 6????????????inst_addr?<=?`ZeroWord;
 7????????end?else?begin
 8????????????case?(csr_state)
 9????????????????S_CSR_IDLE:?begin
10????????????????????if?(int_state?==?S_INT_SYNC_ASSERT)?begin
11????????????????????????csr_state?<=?S_CSR_MEPC;
12????????????????????????inst_addr?<=?inst_addr_i;
13????????????????????????case?(inst_i)
14????????????????????????????`INST_ECALL:?begin
15????????????????????????????????cause?<=?32'd11;
16????????????????????????????end
17????????????????????????????`INST_EBREAK:?begin
18????????????????????????????????cause?<=?32'd3;
19????????????????????????????end
20????????????????????????????default:?begin
21????????????????????????????????cause?<=?32'd10;
22????????????????????????????end
23????????????????????????endcase
24????????????????????end?else?if?(int_state?==?S_INT_ASYNC_ASSERT)?begin
25????????????????????????//?定時(shí)器中斷
26????????????????????????cause?<=?32'h80000004;
27????????????????????????csr_state?<=?S_CSR_MEPC;
28????????????????????????inst_addr?<=?inst_addr_i;
29????????????????????//?中斷返回
30????????????????????end?else?if?(int_state?==?S_INT_MRET)?begin
31????????????????????????csr_state?<=?S_CSR_MSTATUS_MRET;
32????????????????????end
33????????????????end
34????????????????S_CSR_MEPC:?begin
35????????????????????csr_state?<=?S_CSR_MCAUSE;
36????????????????end
37????????????????S_CSR_MCAUSE:?begin
38????????????????????csr_state?<=?S_CSR_MSTATUS;
39????????????????end
40????????????????S_CSR_MSTATUS:?begin
41????????????????????csr_state?<=?S_CSR_IDLE;
42????????????????end
43????????????????S_CSR_MSTATUS_MRET:?begin
44????????????????????csr_state?<=?S_CSR_IDLE;
45????????????????end
46????????????????default:?begin
47????????????????????csr_state?<=?S_CSR_IDLE;
48????????????????end
49????????????endcase
50????????end
51????end
52...

第3~6行,CSR狀態(tài)默認(rèn)處于S_CSR_IDLE。

第1023行,當(dāng)CSR處于S_CSR_IDLE時(shí),如果中斷狀態(tài)為S_INT_SYNC_ASSERT,則在第11行將CSR狀態(tài)設(shè)置為S_CSR_MEPC,在第12行將當(dāng)前指令地址保存下來(lái)。在第1323行,根據(jù)不同的指令類(lèi)型,設(shè)置不同的中斷碼(Exception Code),這樣在中斷服務(wù)程序里就可以知道當(dāng)前中斷發(fā)生的原因了。

第24~28行,目前tinyriscv只支持定時(shí)器這個(gè)外設(shè)中斷。

第30~31行,如果是中斷返回指令,則設(shè)置CSR狀態(tài)為S_CSR_MSTATUS_MRET。

第34~48行,一個(gè)時(shí)鐘切換一下CSR狀態(tài)。

接下來(lái)就是寫(xiě)CSR寄存器操作,需要根據(jù)上面的CSR狀態(tài)來(lái)寫(xiě)。

 1...
 2//發(fā)出中斷信號(hào)前,先寫(xiě)幾個(gè)CSR寄存器
 3always@(posedgeclk)begin
 4if(rst==`RstEnable)begin
 5we_o<=?`WriteDisable;
 6????????????waddr_o?<=?`ZeroWord;
 7????????????data_o?<=?`ZeroWord;
 8????????end?else?begin
 9????????????case?(csr_state)
10????????????????//?將mepc寄存器的值設(shè)為當(dāng)前指令地址
11????????????????S_CSR_MEPC:?begin
12????????????????????we_o?<=?`WriteEnable;
13????????????????????waddr_o?<=?{20'h0,?`CSR_MEPC};
14????????????????????data_o?<=?inst_addr;
15????????????????end
16????????????????//?寫(xiě)中斷產(chǎn)生的原因
17????????????????S_CSR_MCAUSE:?begin
18????????????????????we_o?<=?`WriteEnable;
19????????????????????waddr_o?<=?{20'h0,?`CSR_MCAUSE};
20????????????????????data_o?<=?cause;
21????????????????end
22????????????????//?關(guān)閉全局中斷
23????????????????S_CSR_MSTATUS:?begin
24????????????????????we_o?<=?`WriteEnable;
25????????????????????waddr_o?<=?{20'h0,?`CSR_MSTATUS};
26????????????????????data_o?<=?{csr_mstatus[31:4],?1'b0,?csr_mstatus[2:0]};
27????????????????end
28????????????????//?中斷返回
29????????????????S_CSR_MSTATUS_MRET:?begin
30????????????????????we_o?<=?`WriteEnable;
31????????????????????waddr_o?<=?{20'h0,?`CSR_MSTATUS};
32????????????????????data_o?<=?{csr_mstatus[31:4],?csr_mstatus[7],?csr_mstatus[2:0]};
33????????????????end
34????????????????default:?begin
35????????????????????we_o?<=?`WriteDisable;
36????????????????????waddr_o?<=?`ZeroWord;
37????????????????????data_o?<=?`ZeroWord;
38????????????????end
39????????????endcase
40????????end
41????end
42...

第11~15行,寫(xiě)mepc寄存器。

第17~21行,寫(xiě)mcause寄存器。

第23~27行,關(guān)閉全局異步中斷。

第29~33行,寫(xiě)mstatus寄存器。

最后就是發(fā)出中斷信號(hào),中斷信號(hào)會(huì)進(jìn)入到執(zhí)行階段。

 1...
 2//發(fā)出中斷信號(hào)給ex模塊
 3always@(posedgeclk)begin
 4if(rst==`RstEnable)begin
 5int_assert_o<=?`INT_DEASSERT;
 6????????????int_addr_o?<=?`ZeroWord;
 7????????end?else?begin
 8????????????//?發(fā)出中斷進(jìn)入信號(hào).寫(xiě)完mstatus寄存器才能發(fā)
 9????????????if?(csr_state?==?S_CSR_MSTATUS)?begin
10????????????????int_assert_o?<=?`INT_ASSERT;
11????????????????int_addr_o?<=?csr_mtvec;
12????????????//?發(fā)出中斷返回信號(hào)
13????????????end?else?if?(csr_state?==?S_CSR_MSTATUS_MRET)?begin
14????????????????int_assert_o?<=?`INT_ASSERT;
15????????????????int_addr_o?<=?csr_mepc;
16????????????end?else?begin
17????????????????int_assert_o?<=?`INT_DEASSERT;
18????????????????int_addr_o?<=?`ZeroWord;
19????????????end
20????????end
21????end
22...

有兩種情況需要發(fā)出中斷信號(hào),一種是進(jìn)入中斷,另一種是退出中斷。

第9~12行,寫(xiě)完mstatus寄存器后發(fā)出中斷進(jìn)入信號(hào),中斷入口地址就是mtvec寄存器的值。

第13~15行,發(fā)出中斷退出信號(hào),中斷退出地址就是mepc寄存器的值。

JTAG

JTAG作為一種調(diào)試接口,在處理器設(shè)計(jì)里算是比較大而且復(fù)雜、卻不起眼的一個(gè)模塊,絕大部分開(kāi)源處理器核都沒(méi)有JTAG(調(diào)試)模塊。但是為了完整性,tinyriscv還是加入了JTAG模塊,還單獨(dú)為JTAG寫(xiě)了一篇文章《深入淺出RISC-V調(diào)試》,感興趣的同學(xué)可以去看一下,這里不再單獨(dú)介紹了。要明白JTAG模塊的設(shè)計(jì)原理,必須先看懂RISC-V的debug spec。

RTL仿真驗(yàn)證

寫(xiě)完處理器代碼后,怎么證明所寫(xiě)的處理器是能正確執(zhí)行指令的呢?這時(shí)就需要寫(xiě)testbench來(lái)測(cè)試了。其實(shí)在寫(xiě)代碼的時(shí)候就應(yīng)該在頭腦里進(jìn)行仿真。這里并沒(méi)有使用ModelSim這些軟件進(jìn)行仿真,而是使用了一個(gè)輕量級(jí)的iverilog和vvp工具。

在寫(xiě)testbench文件時(shí),有兩點(diǎn)需要注意的,第一點(diǎn)就是在testbench文件里加上讀指令文件的操作:

1initialbegin
2$readmemh("inst.data",tinyriscv_soc_top_0.u_rom._rom);
3end

第2行代碼的作用就是將inst.data文件讀入到rom模塊里,inst.data里面的內(nèi)容就是一條條指令,這樣處理器開(kāi)始執(zhí)行時(shí)就可以從rom里取到指令。

第二點(diǎn)就是,在仿真期間將仿真波形dump出到某一個(gè)文件里:

1initialbegin
2$dumpfile("tinyriscv_soc_tb.vcd");
3$dumpvars(0,tinyriscv_soc_tb);
4end

這樣仿真波形就會(huì)被dump出到tinyriscv_soc_tb.vcd文件,使用gtkwave工具就可以查看波形了。

審核編輯:郭婷

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 數(shù)字電路
    +關(guān)注

    關(guān)注

    193

    文章

    1629

    瀏覽量

    80822
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    2003

    瀏覽量

    61347
  • RISC-V
    +關(guān)注

    關(guān)注

    45

    文章

    2322

    瀏覽量

    46591

原文標(biāo)題:既生ARM,何生RISC-V

文章出處:【微信號(hào):嵌入式情報(bào)局,微信公眾號(hào):嵌入式情報(bào)局】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    如何使用 Verilog 進(jìn)行數(shù)字電路設(shè)計(jì)

    使用Verilog進(jìn)行數(shù)字電路設(shè)計(jì)是一個(gè)復(fù)雜但有序的過(guò)程,它涉及從概念設(shè)計(jì)到實(shí)現(xiàn)、驗(yàn)證和優(yōu)化的多個(gè)階段。以下是一個(gè)基本的步驟指南,幫助你理解如何使用Verilog來(lái)設(shè)計(jì)數(shù)字電路: 1. 明確設(shè)計(jì)需求
    的頭像 發(fā)表于 12-17 09:47 ?363次閱讀

    加入全球 RISC-V Advocate 行列,共筑 RISC-V 的未來(lái) !

    加入RISC-VAdvocate行列!我們正在尋找來(lái)自世界各地的RISC-V愛(ài)好者,通過(guò)全球推廣和參與,成為支持RISC-V進(jìn)步的關(guān)鍵參與者。作為一名RISC-VAdvocate,您將
    的頭像 發(fā)表于 09-10 08:08 ?504次閱讀
    加入全球 <b class='flag-5'>RISC-V</b> Advocate 行列,共筑 <b class='flag-5'>RISC-V</b> 的未來(lái) !

    RISC-V Summit China 2024 青稞RISC-V+接口PHY,賦能RISC-V高效落地

    沁恒在歷屆峰會(huì)上分享RISC-V在MCU領(lǐng)域的創(chuàng)新成果,和大家共同見(jiàn)證了本土RISC-V產(chǎn)業(yè)的成長(zhǎng)。早在第一屆RISC-V中國(guó)峰會(huì)上,沁恒就公開(kāi)了青稞RISC-V系列量產(chǎn)芯片的關(guān)鍵技術(shù)
    的頭像 發(fā)表于 08-30 18:18 ?1638次閱讀
    <b class='flag-5'>RISC-V</b> Summit China 2024  青稞<b class='flag-5'>RISC-V</b>+接口PHY,賦能<b class='flag-5'>RISC-V</b>高效落地

    RISC-V Summit China 2024 | 青稞RISC-V+接口PHY,賦能RISC-V高效落地

    方式,從同質(zhì)化的市場(chǎng)環(huán)境脫穎而出,通過(guò)專(zhuān)業(yè)接口技術(shù)將RISC-V導(dǎo)入下游廣闊的互聯(lián)互通場(chǎng)景,為萬(wàn)物互聯(lián)的世界賦能。 03 青稞內(nèi)核+接口PHY,讓RISC-V高效落地 現(xiàn)場(chǎng),沁恒作了“青稞R
    發(fā)表于 08-30 17:37

    2024 RISC-V 中國(guó)峰會(huì):華秋電子助力RISC-V生態(tài)!

    第四屆RISC-V中國(guó)峰會(huì)(RISC-V Summit China 2024)于8月21日至23日在杭州盛大召開(kāi),成為RISC-V領(lǐng)域的一次重要盛會(huì)
    的頭像 發(fā)表于 08-26 18:33 ?986次閱讀
    2024 <b class='flag-5'>RISC-V</b> 中國(guó)峰會(huì):華秋電子助力<b class='flag-5'>RISC-V</b>生態(tài)!

    2024 RISC-V 中國(guó)峰會(huì):華秋電子助力RISC-V生態(tài)!

    第四屆RISC-V中國(guó)峰會(huì)(RISC-V Summit China 2024)于8月21日至23日在杭州盛大召開(kāi),成為RISC-V領(lǐng)域的一次重要盛會(huì)。峰會(huì)匯聚了RISC-V國(guó)際基金會(huì)的
    發(fā)表于 08-26 16:46

    risc-v的發(fā)展歷史

    RISC-V架構(gòu)在學(xué)術(shù)圈和開(kāi)源社區(qū)獲得了更廣泛的關(guān)注和應(yīng)用。 四、廣泛應(yīng)用與生態(tài)系統(tǒng)建設(shè) 工業(yè)界應(yīng)用:隨著RISC-V架構(gòu)的不斷發(fā)展,越來(lái)越多的公司開(kāi)始采用RISC-V架構(gòu)。例如,
    發(fā)表于 07-29 17:20

    rIsc-v的缺的是什么?

    態(tài)系統(tǒng)還不夠豐富。這可能導(dǎo)致軟件和工具的可用性受限,特別是在一些特定的應(yīng)用領(lǐng)域或開(kāi)發(fā)環(huán)境。開(kāi)發(fā)者可能需要投入更多的時(shí)間和精力來(lái)尋找或開(kāi)發(fā)適合RISC-V架構(gòu)的軟件和工具鏈。 碎片化風(fēng)險(xiǎn):由于RISC-V的開(kāi)源性
    發(fā)表于 07-29 17:18

    數(shù)字EDA賦能RISC-V落地演進(jìn)技術(shù)研討會(huì)成功舉辦

    ,主題為《數(shù)字異構(gòu)驗(yàn)證方案應(yīng)對(duì)數(shù)字電路設(shè)計(jì)的新挑戰(zhàn)》。梁琪介紹了當(dāng)前數(shù)字芯片設(shè)計(jì)的挑戰(zhàn),特別是RISC-V架構(gòu)的碎片化特征。她指出,這種特
    的頭像 發(fā)表于 06-21 08:24 ?437次閱讀
    <b class='flag-5'>數(shù)字</b>EDA賦能<b class='flag-5'>RISC-V</b>落地演進(jìn)技術(shù)研討會(huì)成功舉辦

    數(shù)字EDA賦能RISC-V落地演進(jìn)技術(shù)研討會(huì)成功舉辦

    為了推動(dòng)RISC-V技術(shù)的落地與演進(jìn), 國(guó)家集成電路設(shè)計(jì)深圳產(chǎn)業(yè)化基地?cái)y手思爾芯 ,于2024年6月18日下午成功舉辦了“數(shù)字EDA賦能RISC-V落地演進(jìn)技術(shù)研討會(huì)”。
    的頭像 發(fā)表于 06-20 11:15 ?763次閱讀

    RISC-V有哪些優(yōu)點(diǎn)和缺點(diǎn)

    。同時(shí),這種設(shè)計(jì)也降低了制造成本,使得RISC-V在成本敏感的應(yīng)用場(chǎng)景更具競(jìng)爭(zhēng)力。 缺點(diǎn): 性能問(wèn)題:雖然RISC-V設(shè)計(jì)簡(jiǎn)潔,但相對(duì)于某些專(zhuān)用ISA(如ARM),其性能可能略低。這主要
    發(fā)表于 04-28 09:03

    RISC-V有哪些優(yōu)缺點(diǎn)?是堅(jiān)持ARM方向還是投入risc-V的懷抱?

    是一個(gè)優(yōu)勢(shì)。同時(shí),這種設(shè)計(jì)也降低了制造成本,使得RISC-V在成本敏感的應(yīng)用場(chǎng)景更具競(jìng)爭(zhēng)力。 缺點(diǎn) : 性能問(wèn)題 :雖然RISC-V設(shè)計(jì)簡(jiǎn)潔,但相對(duì)于某些專(zhuān)用ISA(如ARM),其性能可能略低。這主要
    發(fā)表于 04-28 08:51

    國(guó)產(chǎn)RISC-V MCU推薦

    ,可以消除外部電路的缺陷,更好地適應(yīng)外部環(huán)境的變化。因此,ESP32的批量生產(chǎn)不需要昂貴的專(zhuān)用Wi-Fi測(cè)試設(shè)備。 性能超凡的RISC-V MCU 有些網(wǎng)友推薦先楫的產(chǎn)品,devinfanyang認(rèn)為
    發(fā)表于 04-17 11:00

    解鎖RISC-V技術(shù)力量丨曹英杰:RISC-V與大模型探索

    4月12日,第二期“大家來(lái)談芯|解鎖RISC-V技術(shù)力量”在上海臨港新片區(qū)頂科永久會(huì)址舉辦,本期沙龍聚焦RISC-V技術(shù),圍繞AI時(shí)代的RISC-V市場(chǎng)機(jī)會(huì)、RISC-V在汽車(chē)領(lǐng)域的應(yīng)
    的頭像 發(fā)表于 04-16 08:16 ?801次閱讀
    解鎖<b class='flag-5'>RISC-V</b>技術(shù)力量丨曹英杰:<b class='flag-5'>RISC-V</b>與大模型探索

    RISC-V 基礎(chǔ)學(xué)習(xí):RISC-V 基礎(chǔ)介紹

    復(fù)用資源,因此,其面積開(kāi)銷(xiāo)是比較大的,但是由于可以讓不同的流水線(xiàn)級(jí)數(shù)同時(shí)做不同的事情,而達(dá)到流水的效果,提高了性能,優(yōu)化了時(shí)序,增加了吞吐率。 9. RISC-V 在了解了 RISC 和 CISC 兩種
    發(fā)表于 03-12 10:25
    绥滨县| 516棋牌游戏补丁| 狮威百家乐娱乐平台| 百家乐靠什么赢| 大都会百家乐官网的玩法技巧和规则| 现金百家乐官网代理| 成都市| 请问下百家乐去哪个娱乐城玩最好呢 | 百家乐官网桌颜色可定制| 澳门百家乐官网网40125| 网络百家乐模拟投注| 大发888娱乐代理| 界首市| 悦榕庄百家乐官网的玩法技巧和规则 | G3百家乐的玩法技巧和规则| 玉树县| 优博百家乐官网的玩法技巧和规则 | 百家乐官网荷官培训| 百家乐AG| 百家乐官网下注时机| 百家乐娱乐城优惠| 大发888娱乐场下载 zhidu| 百家乐官网贴| 百家乐娱乐城优惠| 海南博彩bocai0898| 百家乐官网算点子打法攻略| 澳门百家乐是怎样赌| 娱乐城在线| 真人百家乐官网赌注| 找查百家乐玩法技巧| 尊爵娱乐| 线上百家乐官网平玩法| 百家乐筹码片| 百家乐官网开闲几率| 在线玩百家乐的玩法技巧和规则| 百家乐官网游戏研发| 百家乐的技术与心态| 香港六合彩的开奖结果| 百家乐官网赌场赌场网站| 火箭百家乐的玩法技巧和规则 | 天天百家乐的玩法技巧和规则 |